如何免费使用 VCV Rack ⑭ 实用程序

2019年06月05日00时16分13 模块化
スポンサーリンク

总结

这是来自Chillout with Beats 的yosi。

VCV机架这是第十四条。

单击此处查看上一篇文章

2019年06月03日23时01分57
如何免费使用 VCV Rack ⑬ 倍数
这是关于 VCV Rack 的第十三篇文章。上一篇文章就到这里接上一篇文章,继续介绍推荐模块。这次是基于 Mutable Instruments 的 Audible Instruments。最近在各种搜索的时候,发现了一个真正的模块化系统……

继续上一次,我们将介绍推荐的模块。

这一次可变仪器 是基地有声乐器

我会一帆风顺的。

实用程序(扭结)

这次的理论也很简单,但我想知道是否有很多用途。

标志部分

2019年08月07日22时41分13 1

1.输入

2.反相输出。除了典型的 CV 应用(反转包络或 LFO 的方向)外,逆变器还有助于从 VCO 输出创建有趣的波形。例如,将传统模拟 VCO 的正弦波输出反转并将其与锯齿(或三角形)输出混合。这与衰减锯齿(或三角形)波形的基频以产生更靠近鼻子的声音相同。

3.半波整流输出。信号的负半部分被削波至 0V。它可以为音频信号添加许多泛音。

4.全波整流输出。信号的负半部分被反转。对于正弦波和三角波等对称波形,这会使信号的频率加倍(“八度”效应)。另一个有趣的应用是在将随机信号发送到量化器或数字 VCO 之前对其进行预处理。其中一些不处理负面简历。

监控 LED 显示输入信号的幅度和极性。

ポイント

如果只是文字很难理解,所以我准备了一张图片。

它是分别输入 LFO(正弦波)的结果。

最左边是原始 LFO2.是。它被翻转了,所以它正好相反。

2019年06月04日23时26分36 2

逻辑部分

2019年08月07日22时41分34

记住逻辑或门是如何工作的。 每当两个输入之一处于高电平时,其输出处于高电平(例如,+ 2V)。这个规则可以用另一种方式表达。输出是两个输入中的最大值。这正是模拟 OR 电路(也称为最大或峰值电路)所做的。 取两个输入电压中的最大值。如果这些电压是数字信号(例如,低电平 1V 和高电平 5V),则该电路的行为类似于数字或门。

1.2.归一化的信号输入。

3.模拟 OR 信号(最大值、峰值)。

4.模拟与信号(最小值、谷值)。监控 LED 显示两个输入信号的总幅度和极性。有一些有趣的发现。

输入被归一化为 0V,因此如果您只将电缆连接到一个输入,MAX 将输出波形的正半部分,而 MIN 将输出波形的负半部分。
当然,您可以使用它们来操作数字触发器、门或时钟。
对于音频速率信号,生成的波形具有与环形调制器相同的非谐波亚音和边带。
经典的“Buchlaesque”应用是结合不同的 AD 包络或三角形 LFO 来创建非常复杂的形状。
另一个有趣的补丁是使用慢速 LFO 来剪辑三角形或正弦波。这会产生类似 PWM 的效果。

ポイント

很难理解这只是文字,所以我准备了一张图片。

LFO(正弦波)INA这是只输入到的结果。

2019年06月04日23时39分43

LFO(正弦波)在一个,LFO(方波)INB这是只输入到的结果。

2019年06月04日23时43分35

スポンサーリンク

S & H 和噪声部分

2019年08月07日22时43分16

每次在 TRIG 输入上接收到触发时,输出电压都会采用输入电压的值并保持该电压,直到在 TRIG 输入上接收到新的触发。

1.归一化信号输入到白噪声发生器。

2.触发输入 需要具有急剧上升沿的信号(时钟/门/触发发生器、方波 LFO 或 VCO)。

3.噪声发生器输出

4.采样并保持输出。

监控 LED 显示输出信号的幅度和极性。

重要的是触发输入信号有一个急剧的上升沿。该模块对信号的斜率敏感,而不是它的值。 无法使用慢速信号或三角 LFO 来触发 S & H(当然此类信号可以通过 IN 输入进行采样并将它们变成疯狂的阶梯)。触发信号最高可达 10kHz。 尝试将高音调方形 VCO 跳线到 TRIG 输入,以向发送到 IN 的音频信号添加混叠(“位崩溃”)。

由于该电路是模拟电路,因此不能无限期地保持输出电压。输出电压以每秒小于 0.8 mV(1 美分)的速度非常缓慢地向 0 下降。

将时钟或触发器发送到 TRIG 输入而不将信号跳线到 IN 输入会产生步进随机信号。调整输出电平,使输出值在 -2V 和 +2V 之间的时间超过一半。很少,它低于-5V或高于+ 5V。

噪声输出可以独立于 S & H 电路使用。

ポイント

这是一个相当疯狂的家伙。

1.您可以通过插入 LFO 等并在 2 中插入触发器来输出序列。

2.当您输入触发器时,在该触发器时间IN输入电压的值是从 4 输出的。

带触发时序和输入值4.的输出确定,并一直保持,直到输入下一个触发器。 (在模拟电路的情况下,它似乎逐渐变为 0,但由于它是数字电路,它似乎不是那样操作的。)

此外,如果您输入一个没有连接到 IN 的触发器,则会生成一个随机信号。

当您需要随机信号时可以使用它。

2019年06月05日00时16分13

まとめ

如果您是模块化的并且与众不同,您将需要不同的 LFO。特别是正弦波中负部分为0的模式。

很容易制作很好。

可以输出白噪声也很棒。

实用程序非常简单,但它是一个非常有用的家伙。

评论

我复制了标题和网址